Hi all !!
Currently i am working on LCD program in FPGA spartan 3. I am manage to display 16 bit data.
How can i able to display 32 bit data.

My question is "after completing 16 bit data whether i have to give next line address?But i am incrementing address by 1 at every time?My cursor or itself is not moving to the next line.how can be i able to do that?


please help regarding this.I am using VHDL language for coding.