Did any1 tried the vlog oprtion to simulate after the compilation

Vlog [-R<simargs>]

I tried to give the vsim options for the simargs but I could not get it done, It would be helpful if any1 could point out how to use this option for vlog efficently.